Friday, October 14, 2016

vim format for system verilog and matching keywords

For System Verilog color highlighting, 

augroup filetype
  " Verilog HDL
  au BufNewFile,BufRead *.bv,*.sv     set ft=verilog_systemverilog
  au BufNewFile,BufRead *.vr,*.vrh,*vri  set ft=vera
augroup END

If you want to match begin-end, case-endcase, task-endtask etc in a nested code in vim, 
here is the code required in .vimrc and enjoy vimming.
You have to download matchit.vim from online.

source /home/username/matchit.vim

:let b:match_ignorecase = 1

:let b:match_words = '\<begin\>:\<end\>'

useful link about svn or s4



One command excited me last week is “rs4 scrub”. Very interesting and useful cmd !!!


This link also says about viewspec files etc..